Chidori Adachi, dashing on each thing

足立千鳥があちこちぶちあたりながら学んでいく記録です。

Visual Studio Code で Verilog HDL を書いて Icarus Verilog でシミュレーションする

環境は Windows11です。

やることまとめ

  • Visual Studio Code (エディタ)をインストールする
  • Visual Studio Code拡張機能 Verilog-HDL/SystemVerilog/Bluespec SystemVerilog をインストールする
  • Icarus Verilog (シミュレータ)と GTKWave(タイミングチャート描画ツール)をインストールする
  • ハローワールド的なテストをする

参考

【Icarus】Verilog開発環境構築【Visual Studio code】|瓦家(Kawara-ya)|note

↑が詳細です。この記事はクイックノートだと思ってください。

Visual Studio Code をインストール

Visual Studio Code - Code Editing. Redefined

ダウンロードしてインストール。

VSCode でも Vim 的なキーバインドで操作したいよね、という人は neovim を入れるといいかも。手順は以下。

Powershell をインストール(アップデート)

https://docs.microsoft.com/ja-jp/powershell/scripting/install/installing-powershell-on-windows?view=powershell-7.2

chocolatey をインストール、chocolatey で neovim をインストール

https://zenn.dev/altair/articles/aa54cf574636f2

https://docs.chocolatey.org/en-us/choco/setup

パッケージマネージャを使う方法もありかも

https://qiita.com/tats-u/items/89f401ff5555170fc41d

Visual Studio Codevscode-neovim をインストール、neovimのパスを設定(VSCodeの再起動が必要かも)

https://ascii.jp/elem/000/004/036/4036471/

詳しい参考はここ↓

超融合!時空を越えた絆 Neo Vim(VSCode)を試してみた

Visual Studio Code拡張機能 Verilog-HDL/SystemVerilog/Bluespec SystemVerilog をインストール

Verilog-HDL/SystemVerilog/Bluespec SystemVerilog
インストール!

Icarus Verilog と GTKWave をインストール

Icarus Verilog for Windows

今日(2022/09/23)の時点では iverilog-v12-20220611-x64_setup [18.2MB] が最新だったのでこれをダウンロード、実行してインストールウィザードを進める。

select a destination folder without spaces
インストール先フォルダのパスはスペースがない場所にしてね、の注意書き。日本語が入っているパス(例:C:\Users\Adachi\ドキュメント\FPGAの勉強)もやめておく。

Add excecutable folder(s) to the user PATH
PATHにiverilogを追加するにチェックを入れる。これで VSCode のターミナル(PowerShell)から iverilog が実行できる。

select Full installation; Install MinGW dependencies (DLL libraries) and GTKWave (x64)
MinGWのDLLとGTKWaveを一緒にインストール(Full installation)する。回路の入出力の波形(タイミングチャート)を表示することができるようになる。

テスト

本当はテストコードを書いて公開するつもりだったけど時間がないのであとは配信で確認してください!

Verilog HDLで加算器を作る(FPGAで円周率計算 第02回) - YouTube

youtu.be